您的位置: 首頁 >互聯(lián)網(wǎng) >

網(wǎng)絡(luò)資訊:FPGA是什么

2022-08-10 11:54:00 編輯:呂紫會 來源:
導(dǎo)讀 今天來說一下FPGA是什么這方面的一些訊息,不少朋友對FPGA是什么這方面的一些訊息頗感興趣的,小編今天就整理了一些信息,希望對有需要的朋...

今天來說一下FPGA是什么這方面的一些訊息,不少朋友對FPGA是什么這方面的一些訊息頗感興趣的,小編今天就整理了一些信息,希望對有需要的朋友有所幫助。

FPGA是在PAL、GAL等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點(diǎn)。

FPGA(Field Programmable Gate Array)是在 PAL、GAL 等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點(diǎn)。

簡介

FPGA 設(shè)計(jì)不是簡單的芯片研究,主要是利用 FPGA 的模式進(jìn)行其他行業(yè)產(chǎn)品的設(shè)計(jì)。 與 ASIC 不同,F(xiàn)PGA 在通信行業(yè)的應(yīng)用比較廣泛。通過對全球 FPGA 產(chǎn)品市場以及相關(guān)供應(yīng)商的分析,結(jié)合當(dāng)前我國的實(shí)際情況以及國內(nèi)領(lǐng)先的 FPGA 產(chǎn)品可以發(fā)現(xiàn)相關(guān)技術(shù)在未來的發(fā)展方向,對我國科技水平的全面提高具有非常重要的推動(dòng)作用。

與傳統(tǒng)模式的芯片設(shè)計(jì)進(jìn)行對比,F(xiàn)PGA 芯片并非單純局限于研究以及設(shè)計(jì)芯片,而是針對較多領(lǐng)域產(chǎn)品都能借助特定芯片模型予以優(yōu)化設(shè)計(jì)。從芯片器件的角度講,F(xiàn)PGA 本身構(gòu)成 了半定制電路中的典型集成電路,其中含有數(shù)字管理模塊、內(nèi)嵌式單元、輸出單元以及輸入單元等。在此基礎(chǔ)上,關(guān)于 FPGA 芯片有必要全面著眼于綜合性的芯片優(yōu)化設(shè)計(jì),通過改進(jìn)當(dāng)前的芯片設(shè)計(jì)來增設(shè)全新的芯片功能,據(jù)此實(shí)現(xiàn)了芯片整體構(gòu)造的簡化與性能提升。

基本結(jié)構(gòu)

FPGA 器件屬于專用集成電路中的一種半定制電路,是可編程的邏輯列陣,能夠有效的解決原有的器件門電路數(shù)較少的問題。FPGA 的基本結(jié)構(gòu)包括可編程輸入輸出單元,可配置邏輯塊,數(shù)字時(shí)鐘管理模塊,嵌入式塊 RAM,布線資源,內(nèi)嵌專用硬核,底層內(nèi)嵌功能單元。由于 FPGA 具有布線資源豐富,可重復(fù)編程和集成度高,投資較低的特點(diǎn),在數(shù)字電路設(shè)計(jì)領(lǐng)域得到了廣泛的應(yīng)用。FPGA 的設(shè)計(jì)流程包括算法設(shè)計(jì)、代碼仿真以及設(shè)計(jì)、板機(jī)調(diào)試,設(shè)計(jì)者以及實(shí)際需求建立算法架構(gòu),利用 EDA 建立設(shè)計(jì)方案或 HD 編寫設(shè)計(jì)代碼,通過代碼仿真保證設(shè)計(jì)方案符合實(shí)際要求,最后進(jìn)行板級調(diào)試,利用配置電路將相關(guān)文件下載至 FPGA 芯片中,驗(yàn)證實(shí)際運(yùn)行效果。

工作原理

FPGA 采用了邏輯單元陣列 LCA(Logic Cell Array)這樣一個(gè)概念,內(nèi)部包括可配置邏輯模塊 CLB(Configurable Logic Block)、輸入輸出模塊 IOB(Input Output Block)和內(nèi)部連線(Interconnect)三個(gè)部分。 現(xiàn)場可編程門陣列(FPGA)是可編程器件,與傳統(tǒng)邏輯電路和門陣列(如 PAL,GAL 及 CPLD 器件)相比,F(xiàn)PGA 具有不同的結(jié)構(gòu)。FPGA 利用小型查找表(16×1RAM)來實(shí)現(xiàn)組合邏輯,每個(gè)查找表連接到一個(gè) D 觸發(fā)器的輸入端,觸發(fā)器再來驅(qū)動(dòng)其他邏輯電路或驅(qū)動(dòng) I/O,由此構(gòu)成了既可實(shí)現(xiàn)組合邏輯功能又可實(shí)現(xiàn)時(shí)序邏輯功能的基本邏輯單元模塊,這些模塊間利用金屬連線互相連接或連接到 I/O 模塊。FPGA 的邏輯是通過向內(nèi)部靜態(tài)存儲單元加載編程數(shù)據(jù)來實(shí)現(xiàn)的,存儲在存儲器單元中的值決定了邏輯單元的邏輯功能以及各模塊之間或模塊與 I/O 間的聯(lián)接方式,并最終決定了 FPGA 所能實(shí)現(xiàn)的功能,F(xiàn)PGA 允許無限次的編程。

芯片設(shè)計(jì)

相比于其他種類的芯片設(shè)計(jì),關(guān)于 FPGA 芯片通常需要設(shè)置較高門檻并且擬定嚴(yán)格性較強(qiáng)的基本設(shè)計(jì)流程。具體在設(shè)計(jì)時(shí),應(yīng)當(dāng)緊密結(jié)合 FPGA 的有關(guān)原理圖,據(jù)此實(shí)現(xiàn)了規(guī)模較大的專門芯片設(shè)計(jì)。通過運(yùn)用 Matlab 以及 C 語言的特殊設(shè)計(jì)算法,應(yīng)當(dāng)可以實(shí)現(xiàn)全方位的順利轉(zhuǎn)化,從而確保其符合當(dāng)前的主流芯片設(shè)計(jì)思路。在此前提下,如果選擇了上述設(shè)計(jì)思路那么通常需要著眼于有序整合各類元器件以及相應(yīng)的設(shè)計(jì)語言,據(jù)此保證了可用性與可讀性較強(qiáng)的芯片程序設(shè)計(jì)。運(yùn)用 FPGA 可以實(shí)現(xiàn)板機(jī)調(diào)試、代碼仿真與其他有關(guān)的設(shè)計(jì)操作,確保當(dāng)前的代碼編寫方式以及設(shè)計(jì)方案都能符合特定的設(shè)計(jì)需求。 除此以外,關(guān)于設(shè)計(jì)算法應(yīng)當(dāng)將合理性置于首要性的位置, 據(jù)此實(shí)現(xiàn)了優(yōu)化的項(xiàng)目設(shè)計(jì)效果,并且優(yōu)化了芯片運(yùn)行的實(shí)效性。因此作為設(shè)計(jì)人員來講,首先就是要構(gòu)建特定的算法模塊, 以此來完成與之有關(guān)的芯片代碼設(shè)計(jì)。這是由于預(yù)先設(shè)計(jì)代碼有助保證算法可靠性,對于整體上的芯片設(shè)計(jì)效果也能予以顯著優(yōu)化。在全面完成板機(jī)調(diào)試以及仿真測試的前提下,應(yīng)當(dāng)可以在根源上縮短設(shè)計(jì)整個(gè)芯片消耗的周期,同時(shí)也致力于優(yōu)化當(dāng)前現(xiàn)存的硬件整體結(jié)構(gòu)。例如在涉及到開發(fā)非標(biāo)準(zhǔn)的某些硬件接口時(shí),通常都會用到上述的新產(chǎn)品設(shè)計(jì)模式。

FPGA 設(shè)計(jì)的主要難點(diǎn)是熟悉硬件系統(tǒng)以及內(nèi)部資源,保證設(shè)計(jì)的語言能夠?qū)崿F(xiàn)元器件之間的有效配合,提高程序的可讀性以及利用率。這也對設(shè)計(jì)人員提出了比較高的要求,需要經(jīng)過多個(gè)項(xiàng)目的經(jīng)驗(yàn)積累才可以達(dá)到相關(guān)的要求。

在算法設(shè)計(jì)時(shí)需要重點(diǎn)考慮合理性,保證項(xiàng)目最終完成的效果,依據(jù)項(xiàng)目的實(shí)際情況提出解決問題的方案,提高 FPGA 的運(yùn)行效率。確定算法后應(yīng)當(dāng)合理構(gòu)建模塊,方便后期進(jìn)行代碼設(shè)計(jì)。在代碼設(shè)計(jì)時(shí)可以利用預(yù)先設(shè)計(jì)好的代碼,提高工作效率,增強(qiáng)可靠性。編寫測試平臺,進(jìn)行代碼的仿真測試和班級調(diào)試,完成整個(gè)設(shè)計(jì)過程。FPGA 同 ASIC 不同,開發(fā)的周期比較短,可以結(jié)合設(shè)計(jì)要求改變硬件的結(jié)構(gòu),在通信協(xié)議不成熟的情況下可以幫助企業(yè)迅速推出新產(chǎn)品,滿足非標(biāo)準(zhǔn)接口開發(fā)的需求。

優(yōu)缺點(diǎn)

優(yōu)點(diǎn)

FPGA 的優(yōu)點(diǎn)如下:

(1) FPGA 由邏輯單元、RAM、乘法器等硬件資源組成,通過將這些硬件資源合理組織,可實(shí)現(xiàn)乘法器、寄存器、地址發(fā)生器等硬件電路。

(2) FPGA 可通過使用框圖或者 Verilog HDL 來設(shè)計(jì),從簡單的門電路到 FIR 或者 FFT 電路。

(3) FPGA 可無限地重新編程,加載一個(gè)新的設(shè)計(jì)方案只需幾百毫秒,利用重配置可以減少硬件的開銷。

(4) FPGA 的工作頻率由 FPGA 芯片以及設(shè)計(jì)決定,可以通過修改設(shè)計(jì)或者更換更快的芯片來達(dá)到某些苛刻的要求(當(dāng)然,工作頻率也不是無限制的可以提高,而是受當(dāng)前的 IC 工藝等因素制約)。

設(shè)計(jì)語言及平臺

可編程邏輯器件是通過 EDA 技術(shù)將電子應(yīng)用系統(tǒng)的既定功能和技術(shù)指標(biāo)具體實(shí)現(xiàn)的硬件載體,F(xiàn)PGA 作為實(shí)現(xiàn)這一途徑的主流器件之一,具有直接面向用戶,靈活性和通用性極大,使用方便,硬 件測試和實(shí)現(xiàn)快捷等特點(diǎn)。

硬件描述語言(HDL)是一種用來設(shè)計(jì)數(shù)字邏輯系統(tǒng)和描述數(shù)字電路的語言,常用的主要有 VHDL、Verilog HDL、System Verilog 和 System C。

作為一種全方位的硬件描述語言,超高速集成電路硬件描述語言(VHDL)具有與具體硬件電路無關(guān)、與設(shè)計(jì)平臺無關(guān)的特性,具有寬范圍描述能力、不依賴于特定的器件、可將復(fù)雜控制邏輯的設(shè)計(jì)用嚴(yán)謹(jǐn)簡潔的代碼進(jìn)行描述等優(yōu)點(diǎn),得到眾多 EDA 公司的支持,在電子設(shè)計(jì)領(lǐng)域得到了廣泛應(yīng)用。

VHDL 是一種用于電路設(shè)計(jì)的高級語言,與其他硬件描述語言相比,其具有語言簡潔、靈活性強(qiáng)、不依賴于器件設(shè)計(jì)等特點(diǎn),使其成為 EDA 技術(shù)通用的硬件描述語言,使 EDA 技術(shù)更便于設(shè)計(jì)者掌握。

Verilog HDL 是廣泛應(yīng)用的硬件描述語言,可以用于硬件設(shè)計(jì)流 程的建模、綜合、模擬等多個(gè)階段。

Verilog HDL 優(yōu)點(diǎn):類似 C 語言,上手容易,靈活。大小寫敏感。在寫激勵(lì)和建模方面有優(yōu)勢。缺點(diǎn):很多錯(cuò)誤在編譯的時(shí)候不能被發(fā)現(xiàn)。

VHDL 優(yōu)點(diǎn):語法嚴(yán)謹(jǐn),層次結(jié)構(gòu)清晰。缺點(diǎn):熟悉時(shí)間長,不夠靈活。

Quartus_Ⅱ軟件是由 Altera 公司開發(fā)的完整多平臺設(shè)計(jì)環(huán)境,能滿足各種 FPGA,CPLD 的設(shè)計(jì)需要,是片上可編程系統(tǒng)設(shè)計(jì)的綜合性環(huán)境。

Vivado 設(shè)計(jì)套件,是 FPGA 廠商賽靈思公司(Xilinx)2012 年發(fā)布的集成設(shè)計(jì)環(huán)境。包括高度集成的設(shè)計(jì)環(huán)境和新一代從系統(tǒng)到 IC 級的工具,這些均建立在共享的可擴(kuò)展數(shù)據(jù)模型和通用調(diào)試環(huán)境基礎(chǔ)上。Xilinx Vivado 設(shè)計(jì)套件中提供了 FIFO IP 核,可方便應(yīng)用于設(shè)計(jì)中。

近年來,大型的總控系統(tǒng)得到了日益廣泛的應(yīng)用,與之相關(guān)的視頻分割技術(shù)水平也在逐步提高,該技術(shù)是把用多屏拼接顯示的方式來顯示一路視頻信號,在一些需要使用大屏幕顯示的場景應(yīng)用廣泛。

隨著技術(shù)水平的進(jìn)步, 視頻分割技術(shù)逐步成熟,滿足了人們對于清晰視頻圖像的基本需求、 FPGA 芯片硬件結(jié)構(gòu)比較特殊,可以利用事先編輯的邏輯結(jié)構(gòu)文件調(diào)整內(nèi)部結(jié)構(gòu),利用約束的文件來調(diào)整不同邏輯單元的連接和位置,妥善處理好數(shù)據(jù)線路徑,其自身具有的靈活性和適應(yīng)性方便用戶的開發(fā)和應(yīng)用。在處理視頻信號時(shí),F(xiàn)PGA 芯片可以充分利用自身的速度和結(jié)構(gòu)優(yōu)勢,實(shí)現(xiàn)兵乓技術(shù)和流水線技術(shù)。在對外連接的過程中,芯片采用數(shù)據(jù)并行連接的方式,使圖像信息的位寬拓寬,利用內(nèi)部的邏輯功能提高圖像處理的速度。通過高速緩存結(jié)構(gòu)以及時(shí)鐘管理實(shí)現(xiàn)對圖像處理以及其他設(shè)備的控制。在整體的設(shè)計(jì)結(jié)構(gòu)中,F(xiàn)PGA 芯片處于核心位置,復(fù)雜數(shù)據(jù)的插值處理以及提取和存儲,還起到總體控制的作用,保證系統(tǒng)的穩(wěn)定運(yùn)行。另外,視頻信息處理與其他數(shù)據(jù)處理不同,需要芯片具有特殊的邏輯單元以及 RAM 或者 FIFO 單元,保證提高足夠的數(shù)據(jù)傳輸速度。

以上就是關(guān)于FPGA是什么這方面的一些信息了 小編整理的這些訊息希望對童鞋們有所幫助。


免責(zé)聲明:本文由用戶上傳,如有侵權(quán)請聯(lián)系刪除!

最新文章

2016-2022 All Rights Reserved.平安財(cái)經(jīng)網(wǎng).復(fù)制必究 聯(lián)系QQ280 715 8082   備案號:閩ICP備19027007號-6

本站除標(biāo)明“本站原創(chuàng)”外所有信息均轉(zhuǎn)載自互聯(lián)網(wǎng) 版權(quán)歸原作者所有。